Set lexer for VHDL language.

Conflicts:

	test/test_blob.rb
This commit is contained in:
Martin d'Allens
2012-03-25 03:39:51 +02:00
committed by Joshua Peek
parent f029db563c
commit dc145ff715
3 changed files with 17 additions and 1 deletions

View File

@@ -1150,7 +1150,7 @@ Twig:
VHDL: VHDL:
type: programming type: programming
lexer: Text only lexer: vhdl
primary_extension: .vhd primary_extension: .vhd
extensions: extensions:
- .vhd - .vhd

14
test/fixtures/foo.vhd vendored Normal file
View File

@@ -0,0 +1,14 @@
-- VHDL example file
library ieee;
use ieee.std_logic_1164.all;
entity inverter is
port(a : in std_logic;
b : out std_logic);
end entity;
architecture rtl of inverter is
begin
b <= not a;
end architecture;

View File

@@ -287,6 +287,7 @@ class TestBlob < Test::Unit::TestCase
assert_equal Language['Ruby'], blob("script.rb").language assert_equal Language['Ruby'], blob("script.rb").language
assert_equal Language['Ruby'], blob("wrong_shebang.rb").language assert_equal Language['Ruby'], blob("wrong_shebang.rb").language
assert_equal Language['Arduino'], blob("hello.ino").language assert_equal Language['Arduino'], blob("hello.ino").language
assert_equal Language['VHDL'], blob("foo.vhd").language
assert_nil blob("octocat.png").language assert_nil blob("octocat.png").language
# .cls disambiguation # .cls disambiguation
@@ -436,6 +437,7 @@ class TestBlob < Test::Unit::TestCase
assert_equal Lexer['Scheme'], blob("dude.el").lexer assert_equal Lexer['Scheme'], blob("dude.el").lexer
assert_equal Lexer['Text only'], blob("README").lexer assert_equal Lexer['Text only'], blob("README").lexer
assert_equal Lexer['Tea'], blob("foo.tea").lexer assert_equal Lexer['Tea'], blob("foo.tea").lexer
assert_equal Lexer['vhdl'], blob("foo.vhd").lexer
end end
def test_shebang_script def test_shebang_script