mirror of
				https://github.com/KevinMidboe/linguist.git
				synced 2025-10-29 17:50:22 +00:00 
			
		
		
		
	Set lexer for VHDL language.
Conflicts: test/test_blob.rb
This commit is contained in:
		
				
					committed by
					
						
						Joshua Peek
					
				
			
			
				
	
			
			
			
						parent
						
							f029db563c
						
					
				
				
					commit
					dc145ff715
				
			@@ -1150,7 +1150,7 @@ Twig:
 | 
			
		||||
 | 
			
		||||
VHDL:
 | 
			
		||||
  type: programming
 | 
			
		||||
  lexer: Text only
 | 
			
		||||
  lexer: vhdl
 | 
			
		||||
  primary_extension: .vhd
 | 
			
		||||
  extensions:
 | 
			
		||||
  - .vhd
 | 
			
		||||
 
 | 
			
		||||
							
								
								
									
										14
									
								
								test/fixtures/foo.vhd
									
									
									
									
										vendored
									
									
										Normal file
									
								
							
							
						
						
									
										14
									
								
								test/fixtures/foo.vhd
									
									
									
									
										vendored
									
									
										Normal file
									
								
							@@ -0,0 +1,14 @@
 | 
			
		||||
-- VHDL example file
 | 
			
		||||
 | 
			
		||||
library ieee;
 | 
			
		||||
use ieee.std_logic_1164.all;
 | 
			
		||||
 | 
			
		||||
entity inverter is
 | 
			
		||||
	port(a : in std_logic;
 | 
			
		||||
	     b : out std_logic);
 | 
			
		||||
end entity;
 | 
			
		||||
 | 
			
		||||
architecture rtl of inverter is
 | 
			
		||||
begin
 | 
			
		||||
	b <= not a;
 | 
			
		||||
end architecture;
 | 
			
		||||
@@ -287,6 +287,7 @@ class TestBlob < Test::Unit::TestCase
 | 
			
		||||
    assert_equal Language['Ruby'],        blob("script.rb").language
 | 
			
		||||
    assert_equal Language['Ruby'],        blob("wrong_shebang.rb").language
 | 
			
		||||
    assert_equal Language['Arduino'],     blob("hello.ino").language
 | 
			
		||||
    assert_equal Language['VHDL'],        blob("foo.vhd").language
 | 
			
		||||
    assert_nil blob("octocat.png").language
 | 
			
		||||
 | 
			
		||||
    # .cls disambiguation
 | 
			
		||||
@@ -436,6 +437,7 @@ class TestBlob < Test::Unit::TestCase
 | 
			
		||||
    assert_equal Lexer['Scheme'], blob("dude.el").lexer
 | 
			
		||||
    assert_equal Lexer['Text only'], blob("README").lexer
 | 
			
		||||
    assert_equal Lexer['Tea'], blob("foo.tea").lexer
 | 
			
		||||
    assert_equal Lexer['vhdl'], blob("foo.vhd").lexer
 | 
			
		||||
  end
 | 
			
		||||
 | 
			
		||||
  def test_shebang_script
 | 
			
		||||
 
 | 
			
		||||
		Reference in New Issue
	
	Block a user