mirror of
https://github.com/KevinMidboe/linguist.git
synced 2025-10-29 09:40:21 +00:00
15 lines
217 B
VHDL
15 lines
217 B
VHDL
-- VHDL example file
|
|
|
|
library ieee;
|
|
use ieee.std_logic_1164.all;
|
|
|
|
entity inverter is
|
|
port(a : in std_logic;
|
|
b : out std_logic);
|
|
end entity;
|
|
|
|
architecture rtl of inverter is
|
|
begin
|
|
b <= not a;
|
|
end architecture;
|